时序逻辑电路的基本单元是什么

锁存器是一种脉冲电平敏感的存储单元 。最主要的特点是具有使能性的锁存电平功能,即在使能信号有效时,可以锁住输出信号保持不变 , 而在使能信号失效时 , 输出与输入相同,等效于一个输出缓冲器 。
【时序逻辑电路的基本单元是什么】触发器又叫双稳态触发器,随着输入的变化,输出会产生对应的变化 。它通常是由至少两个相同的门电路构成的具有反馈性质的组合逻辑电路 。应用中为了使触发过程容易控制,而做成由时钟触发控制的时序逻辑电路 。

时序逻辑电路的基本单元是什么的详细内容就为您分享到这里,【精彩生活】jing111.com小编为您精选以下内容,希望对您有所帮助: